首页 - 通讯 - 提示消息

提示消息

2023-10-04 14:26
| 我正在尝试模拟一个测试平台。我也没有得到波形,但在提示时收到以下警告消息。是因为我被警告我的代码无法模拟吗?
** 警告:(vsim-WLF-5000) 当前使用的 WLF 文件:vsim.wlf
# 文件使用者:主机名:进程ID:0
# 尝试使用备用 WLF 文件“./wlftazxa4k”。
# ** 警告:(vsim-WLF-5001) 无法打开 WLF 文件:vsim.wlf
# 使用备用文件:./wlftazxa4k
跑步
我还包括了我的测试平台,如下所示:
模块dec_tb;
    reg [63:0] FROM_IF_ID;
    寄存器时钟;
    线[117:0] TO_ID_HMIC;
    整数k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    最初的
     开始
       $monitor($time,\"clk=%b, fifid=%b, tidhm=%b\",CLK,FROM_IF_ID,TO_ID_HMIC);
       $显示(\"qf\");
       时钟=0;
       FROM_IF_ID[35:32]=4\'b1100;
       FROM_IF_ID[63:36]=28\'b0000_10000_00100_01000_00010_0001;
     结尾

    总是
     开始
     #10 时钟=~时钟;
     结尾
    总是@(posege CLK)
      开始$display(\" TO_ID_HMIC= %b\", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k];
      k=k+1;
      #500 $完成;
      结尾
    终端模块